$fell,$stable,$rose,$past

1)A,B都是pulse信号

2)B在A出现 4-6拍以后出现

3)C在A出现的 3-4拍以后出现

4)C在拉高以后,会保持拉高,直到B拉低的同一拍拉低

C_AFTER_A: assert property(@(posedge clk) $rose(A) |-> ##[4:6] $rose(B));

// 方法1 实现要求4

B_FELL_C_FELL: assert property(@(posedge clk) $fell(B) |-> $fell(C));

C_FELL_B_FELL:assert property(@(posedge clk) $fell(C) |-> $fell(B));

//方法2实现要求4

C_STABLE: assert property(@(posedge clk) $past(C) && (!$past(B) || $rose(B)) |-> $stable(C));

B_ROSE_C_STABLE: assert property(@(posedge clk) $fell(B) -> $fell(C))


本文来自互联网用户投稿,文章观点仅代表作者本人,不代表本站立场,不承担相关法律责任。如若转载,请注明出处。 如若内容造成侵权/违法违规/事实不符,请点击【内容举报】进行投诉反馈!

相关文章

立即
投稿

微信公众账号

微信扫一扫加关注

返回
顶部